Manufacturing News

Week In Review, Manufacturing, Test

[ad_1]

Post-CHIPS Act

Micron is discussing a potential new fab that could employ thousands of workers, following the passage of the Chips and Science Act. Idaho is hoping it will be built near its headquarters facilities in Boise, but Micron hasn’t committed publicly. Rob Beard, senior vice president, general counsel and corporate secretary at Micron, told the Idaho Statesman the company is considering locations in several states across the country.

Michigan Governor Gretchen Whitmer signed an executive directive guiding the implementation of the CHIPS Act in Michigan. The signing ceremony at Hemlock Semiconductor Operations (HSC) was joined remotely by President Biden. Said Whitman: “The ongoing chip crisis is having a stark impact on Michigan. We need to move fast, which is why I signed an executive directive today preparing Michigan to harness every available resource from the CHIPS and Science Act to set up our state for decades of growth.”

According to a Reuters report, the United States is considering limiting shipments of American chipmaking equipment to memory chip makers in China, including Yangtze Memory Technologies Co. Ltd (YMTC), part of a bid to halt China’s semiconductor sector advances and protect U.S. companies.

New funding from the CHIPS Act recognizes supply chain risks, but it raises a lot of challenges and unanswered questions, in A Sputnik Moment For Chips.

Fabs

Italy and Intel are hammering out the details of a $5 billion investment to build an advanced semiconductor packaging and assembly plant, according to Reuters. They earlier reported that Italy may fund as much as 40% of any Intel investment in the country. Meanwhile, chipmakers aren’t yet clamoring to take advantage of Spain’s government incentives.

Polymatech Electronics said it will invest $1 billion in its home country of India toward semiconductor manufacturing by 2025. Polymatech has signed a memorandum of understanding (MoU) with the Tamil Nadu government, with an initial investment of $130 million.

JCET’s “Microelectronics Wafer-level Microsystems Integration High-end Manufacturing Project” officially started construction of JCET’s new plant in Jiangyin City of Jiangsu province. Senior leaders from Wuxi and Jiangyin attended the groundbreaking ceremony and laid the foundation stone for the project. “The project is a large-scale smart manufacturing project representing the highest level of manufacturing technology, and the largest single investment project in the history of China’s IC packaging and chipset manufacturing industry. It will support various applications, such as 5G, artificial intelligence, the Internet of Things, and automotive electronics, and meet the demand from our strategic domestic and international customers.” said Li Zheng, JCET’s CEO.

GlobalFoundries has joined Google’s open-source silicon initiative. They are jointly releasing a process design kit (PDK) for GlobalFoundries’ 180MCU technology platform under the Apache 2.0 license, along with a no-cost silicon realization program to manufacture open source designs on the Efabless platform. Google calls the partnership “an unambiguous affirmation of the viability of the open-source model for the foundry ecosystem.”

TSMC celebrated “topping out” its Fab 21 in Arizona. Four thousand people attended the ceremony celebrating the completion of the building, the first step in creating a new facility.

Global Sales & Earnings

Global semiconductor sales hit $152.5 billion in Q2 2022, increasing 13.3% year over year. June 2022 sales decreased 1.9% month-to-month.

Amkor reported second quarter sales of $1.5 billion, a 7% growth year-over-year. Advanced packaging solutions drove 16% year-on-year growth in the Automotive & Industrial end market.

Workforce

Human resources officers from leading industry companies, including AMD, Intel, and TI have written a letter to Congress asking for help expanding the semiconductor workforce, including investing more in developing qualified U.S.-born STEM students and excluding foreign advanced degree holders from green card caps.

A partnership of a dozen universities are establishing a network titled “Midwest Regional Network to Address National Needs in Semiconductor and Microelectronics” with a goal of advancing semiconductor “research, innovation and production.”  Founding members include Ohio State University, Case Western Reserve University, Columbus State Community College, Lorain County Community College, Michigan State University, Purdue University, Sinclair Community College, University of Cincinnati, University of Dayton, University of Michigan, University of Notre Dame and Wright State University.

Flash breakthrough

The talk of this week’s Flash Memory Summit is SK Hynix’s announcement of a 238-layer 3D NAND, scoring five layers over Micron’s 232 layer 3D NAND, which already is shipping. SK Hynix’s chip is planned for 2023.

Research—optical switches

The quest for the ever-elusive all-optical switch has taken another step forward with research from Caltech that describes a non-linear splitter, in which light pulses are routed to two different outputs based on their energies in less than 50 femtoseconds. The paper, entitled Femtojoule femtosecond all-optical switching in lithium niobate nanophotonics, was published in Nature Photonics.

Did the U.S. undercut itself?

The Department of Energy (DOE) canceled a deal to license vanadium battery technology to China following protests.

Further Reading

SemiEngineering’s recent Manufacturing, Packaging & Materials newsletter features:

  • Hybrid Bonding Moves Into The Fast Lane
  • Scaling, Advanced Packaging, Or Both
  • How Quickly Can SiC Ramp?
  • New Materials Open Door To New Devices
  • Fab Investments Head Toward Record High

In case you missed July’s Test, Measurement & Analytics newsletter, check out the stories on improving yield with machine learning, finding frameworks for end-to-end analytics, and why e-beam’s role is growing for defect detection.

Upcoming events

In-person and hybrid conferences are back. On the schedule:

  • SPIE Optics & Photonics, Aug. 21 – 25 (San Diego, CA)
  • TSMC Taiwan Technology Symposium, Aug. 30 (Taiwan)
  • AI Hardware/Edge AI Summit, Sept. 13 – 15 (Santa Clara, CA)
  • Semicon Taiwan, Sept. 14 – 16 (Taipei, Taiwan)
  • SPIE Photonics Industry Summit, Sept. 21 (Washington, D.C.)
  • SPIE Photomask Technology/Extreme Ultraviolet Lithography, Sept. 25 – 29 (Monterey, CA)
  • 55th International Symposium on Microelectronics, Oct. 3 – 6 (Boston)

[ad_2]

Source link