Engineering & Capital Goods News

Where All The Semiconductor Investments Are Going

[ad_1]

Companies and countries are funneling huge sums of money into semiconductor manufacturing, materials, and research — at least a half-trillion dollars over the next decade, and maybe much more — to guarantee a steady supply of chips and know-how to support growth across a wide swath of increasingly data-centric industries.

The build-out of a duplicate supply chain that can guarantee capacity and essential electronic components is the most concentrated and costly technology buildout ever during a time of relative peace. But it is raising concerns about talent shortages, duplicative inefficiencies, and a potential glut at some point in the future that will spawn price wars and inventory write-downs. On the positive side, at least for the near future, it is creating one of the biggest booms in semiconductors and related services and equipment in the history of technology.

Behind this barrage of investments (see detailed tables below), there are several key trends, as well as some potential pitfalls for the future.

Geopolitics, pandemics, and more competition

Continued shortages of essential chips, including those developed at mature nodes, have sparked concern across a variety of industries and regions about the continuity of supply for chips and essential materials, such as rare earths, nickel, neon, and lithium. It doesn’t help that the U.S. and China continue to engage in a war of words and trade restrictions, or that the majority of fabs and packaging houses are located in Asia, with an increasing number of those in China.

Yet despite the rhetoric, commerce between the two countries remains brisk. According to a U.S. Census Bureau report, in 2020, the U.S. exported $125 billion worth of goods to China, and imported $433 billion. In 2021, exports rose to $151 billion and imports rose to $505 billion. In the first 10 months of 2022, exports were basically flat compared with 2021, while imports actually increased 16.4%. There was a falloff in October, but that barely made a dent in the overall number.

At least part of this can be explained by pandemic-related effects, where lockdowns prompted consumers to buy laptops, cameras, modems, and large-screen TVs. The result was a spike in demand for all types of chips, especially those developed at mature nodes. But that demand affected other industries that increasingly rely on 200mm and older fabs, including automotive, white goods, and industrial parts, as well as the equipment needed to make those chips, and all of this was exacerbated by fewer deliveries and slowdowns at major shipping hubs.

The suddenness of these shortages was especially alarming to the chip industry. Prior to the pandemic, the semiconductor supply chain was considered nearly impervious to shortages or inventory gluts. Following the 1999 to 2000 dot-com boom, which resulted in double and triple ordering of chips because of insufficient supplies, there was a deep crash. Chipmakers subsequently shifted to a just-in-time manufacturing model. So despite another deep downturn in 2008, excess inventory was far lower than in 2001 and 2002.

Since then, however, semiconductors have become more critical to more industries, and supply glitches are seen as both an economic and a political threat. It’s no longer just about smart phones and PCs. Chips are used in everything from mil/aero and AI systems to hyperscale data centers, medical equipment, transportation (cars, trucks, ships, planes, rail). And the design and manufacturing of these chips, as well as the research surrounding them, can provide hundreds of thousands of high-paying jobs, which makes onshoring/re-shoring a popular topic politically.

This is why foundries and equipment companies are laying plans and mapping enormous investments, and why governments are investing heavily in semiconductors and related technology in their own backyards (see the chart at the end of this report). Those investments are likely to drive jobs for decades, from construction to process engineering to materials science.

Consider, for example, Infineon’s proposed $5.1 billion 300mm analog, mixed-signal, and power semiconductor expansion in Dresden, Germany, which is expected to add up to 1,000 new jobs, or IBM’s $20 billion investment in New York over the next decade for manufacturing of chips for all types of servers, including quantum computers. Intel, meanwhile, is looking to invest more than $173 billion in various locations for everything from leading-edge transistors to advanced packaging over the next decade. And Micron plans to pump $35 billion (initially) into state-of-the-art memory fabs (and close to $115 billion over 20 years).

This is just the beginning. There are reports of more than $200 billion in additional investments that are still unconfirmed by vendors, with even more rumored or being considered.

The buildout is worldwide. Japan is ratcheting up efforts to produce advanced chips after years of underinvestment. In 1988, Japanese companies accounted for 51% of worldwide semiconductor sales, according to CSIS, but trade frictions with the U.S. and competition from Korea and then China eroded Japan’s leadership. Renewed efforts are now underway with private and public funding to regain some of that market share.

Numerous Japanese tech companies and the Japanese government are teaming up to develop advanced chips in a consortium called Rapidus. The Japanese government is contributing about $500 million, and other participants are investing roughly $7 million each. In addition, Japan plans to budget $2.4 billion in a collaborative effort with the United States to develop and mass produce advanced semiconductors with circuit line widths of 2nm by the latter half of the decade. And Japanese companies are making significant investments. Among them:

  • TSMC and Sony Semiconductor are building a $7 billion 28/22nm specialty fab in Kumamoto, Japan;
  • TEL announced plans to invest more than $600 million in equipment manufacturing facilities in Japan.
  • Renesas is investing more than $600 million to refurbish and convert an existing power semiconductor fab to 300mm, and Toshiba is investing another $1 billion for a new 300mm power semi fab;
  • Canon is building a $262 million lithography manufacturing facility.

Looked at individually, these are enormous bets for the future. Taken as a whole, these could shift the center of gravity for where chips are manufactured, moving design and manufacturing much closer together on a regional basis. But how quickly the impact will be seen remains uncertain.

“It takes time to rebuild any semiconductor supply chain element somewhere else in the world,” said Ondrej Burkacky, senior partner at McKinsey & Co. “A new semiconductor fab takes 5 years. R&D development of technologies is easily 10 to 15 years. If you embark on a journey of creating more resilience in the supply chain, and more localization, there’s nothing you can change in a day. The supply chain is global, and nothing was really localized because it was built to serve a global market. So you could not have a starting point that is more global in nature than the semiconductor industry.”

Nevertheless, the commitment to change is very real. The passage of the CHIPS Act in the United States, and the proposed European Chips Act are just the beginning. All of these investments have broad implications for the future of what has become an increasingly competitive industry.

“If this is a country business case or business decision, and not a company business case or decision, there needs to be some incentive to do so,” Burkacky said. “That may come in terms of subsidies or market tariffs — basically ways that a country can do some market regulation.”

Technology inflection points

Alongside of the larger economic changes, there is a seismic shift underway on the technology side, as well. A reduction in power, performance, and cost benefits from scaling, and the disaggregation of SoCs into heterogeneous packages, are creating significant churn throughout the chip industry. For the past couple of process nodes, one of the biggest drivers for scaling has been the size of a reticle, which has been limited to 858mm². That, in turn, limits the number of functions that can be included on a single planar die.

This is basically a real-estate problem, and chipmakers are starting to circumvent this issue by using various types of advanced packages, bridges, and new ways to connect various dies and partition functionality. But it is being compounded by an explosion in data and the need to process that data more quickly, and with the falloff in Moore’s Law benefits, solutions are becoming more complex, more customized, and much more difficult to design.

“There is an insatiable demand for improvement,” said Shankar Krishnamoorthy, general manager for Synopsys’ EDA Group. “You need a tremendous amount of compute power for AI. So you scale what you can. But what we are seeing everybody doing now is what we call the ‘all of the above’ strategy. The parts that are really energy-sensitive can go on the latest node. But then you can have chiplets from a lot of different nodes. The evolution of that is happening extremely quickly. Every customer is putting that on their roadmap — even mobile companies, which traditionally have been monolithic, 2D-types of designs. They are now looking to evolve to 3D.”

In this hyperconverged world, what was once a single chip is now multiple chips or chiplets — as many as 47 different tiles developed in 9 different processes for Intel’s Ponte Vecchio architecture. That makes custom-designed components essential, and it requires a supply chain capable of developing and manufacturing many more devices in relatively small quantities.

“Disaggregation is here to stay,” Krishnamoorthy said. “It’s all about delivered performance at the workload level, and there are many different ways to achieve that.”

The numbers bear this out, as well. Amkor is developing a new packaging facility in Vietnam with an initial investment of $200 million to $250 million, while ASE plans to invest $300 million in a packaging plant in Malaysia.

People power

Making all of these investments works requires a highly educated workforce. For the past decade, industry executives have been looking further afield to attract engineers to the semiconductor industry. And throughout that period, the majority have opted instead for software  jobs.

“With some talent, it doesn’t matter what industry you’re in because you still need more of it,” said Brandon Kulik, principal and semiconductor industry leader at Deloitte Consulting. “So there’s corporate functions and finance, and as an industry grows, it needs more of what everyone else has, and it has to compete with other industries for that common talent. But in semiconductors, it’s engineering and manufacturing that are pretty specific. What’s changing for us in engineering is that as our clients start moving toward more integrated solutions, more software, and more platform-based solutions, that engineering mix starts to change. You want more software and systems engineers alongside the standard legacy type of design, which means they need to compete with some of the big software companies.”

Recent layoffs at companies like Meta, Twitter, Microsoft, and Salesforce.com can help fill the gap. For years, engineering graduates flocked to big systems companies for software engineering jobs. But until very recently, they largely ignored the hardware side because they assumed performance would continue increasing enough at each new process node. That’s no longer the case. Software engineers increasingly have to understand the power and thermal implications of the code they write, including how many compute cycles a given operation requires and how to take better advantage of the hardware all the way down to the RTL level.

Put in perspective, the industry needs more people, and many of the people who work in the industry today will need supplemental training.

“The number one choke point going forward is talent,” said McKinsey’s Burkacky. ” What is going to limit the growth of the silicon industry isn’t going to be lithium or neon. It’s going to be people.”

Deloitte’s Kulik agrees, adding that companies need to cast a much wider net. “We need to tap into non-traditional sources, and more of them, just to be able to meet all the demand,” he said. “So we need women’s colleges and STEM programs, and historically black colleges and universities. The shortage of talent is going to persist even if demand declines, because the long-term trend for growth in this industry is up and to the right. The need for supply chain resiliency is going to drive some capacity even if demand declines. You’re going to need to engineer and manufacture at greater scale. The world is still in the early part of the journey for connecting things. So economic cycles are going to hit, as they always do, because this is a cyclical industry. But our recommendation for clients is to keep the long view in mind, looking out three to five years, and even beyond that when it comes to talent strategies. That’s going to continue to be a struggle.”

Data

So where is all the money going? The following table lists new chip industry investments announced in 2021 and 2022. It includes selected new manufacturing (and some design) facilities and fabs announced in 2021 and 2022, but there are many more investments beyond this list. For example, the original TSMC Arizona fab was announced in 2020 and therefore not included. The table is currently presented in descending date order of the announcement, but it can be sorted by country or company.

Company/
Date Announced
Location Investment Type Details
Infineon
(Nov 22)
Germany:
Dresden
€5B
(~US $5.1B)
300mm analog/mixed-signal and power semiconductors Subject to adequate public funding via the European Chips Act; up to 1,000 jobs; production start 2026
ASE
(Nov 22)
Malaysia:
Penang
US $300M over 5 years High-demand packaging product types, including copper clip and image sensors 982,000 square feet; to be completed in 2025; 2,700 additional jobs
Rapidus
(Nov 22)
Japan US $558M 2nm chips 8 major Japanese companies; ~US$500M from Japanese government
BOE Tech Grp
(Nov 22)
China:
Beijing
29B yuan
(~US $4B)
High-end display technology 600,000 sq. meters
Edwards
(Nov 22)
USA:
NY
US $127M initially, to $319M over 7 years State-of-the-art dry pump manufacturing 240,000 sq. ft
Cisco
(Nov 22)
Spain:
Barcelona
not disclosed Design and prototype for next generation semiconductor devices Co-located with Cisco Innovation Center; PERTE funding
Air Liquide
(Oct 22)
Taiwan €500M
over 5 years
(~US $501M)
Ultra high purity industrial gases for their leading edge fabs Up to 2 billion Nm3 per year of ultra pure nitrogen, as well as oxygen and argon; operational in 2024
IBM
(Oct 22)
USA:
NY
US $20B
over 10 years
Semiconductor manufacturing, computers, hybrid cloud, AI, quantum computers
QCI
(Oct 22)
USA:
TBD
Quantum nanophotonics technology manufacturing & research center Negotiating several offers of federal, state and regional funding incentives to help finance the project
GF
(Oct 22)
USA:
Vermont
US $30M Federal funding To purchase tools, extend development & implementation of 200mm GaN wafer manufacturing
Oregon St Univ.
(Oct 22)
USA:
Oregon
US $200M Research center for AI, materials, robotics, supercomputers Includes $50M gift from NVIDIA founder;
150,000 SF center
to open in 2025
KLA
(Sept 22)
UK:
Wales
US +$100M R&D and mfg. center for SPTS division
(etch, PVD, CVD & MVD capital equip)
200,000 SF facility
for completion in 2025
ST
(Oct 22)
Italy:
Catania
€730M
over 5 years
(~US $715M)
SiC epitaxial substrate manufacturing Production expected to start in 2023
Canon
(Oct 22)
Japan:
Tochigi
prefect.
38B yen
(~US $262M)
Lithography equipment To open 1st half 2025; ~70,000 square meters
Micron
(Oct 22)
USA:
Clay, NY
US $20B
this decade;
up to $100B
over 20 years
Leading-edge memory fab Production output will ramp in the latter half of the decade
Micron
(Sept 22)
USA:
Idaho
US $15B
by 2029
Leading-edge memory fab 17k new jobs
Wolfspeed
(Sept 22)
USA:
North Carolina
US $1.3B
initially
SiC materials manufacturing facility, primarily 200mm wafers Phase 1 construction is anticipated to be completed in 2024
Vedanta & Foxconn
(Sept 22)
India:
Gujarat
~US $19.5B Semi fab unit, display fab unit, & semi assembling/test 28nm nodes & gen. 8
displays
SK hynix
(Sept 22)
South Korea
Cheongju
15T won
over 5 years
(~US 10.6B)
Memory chips M15X extension on existing site; complete construction in early 2025
SMIC
(Aug 22)
China:
Tianjin
US $7.5B 12-inch wafers Production capacity 100k/month
SkyWater & Purdue
(July22)
USA:
Indiana
US $1.8B Accelerate domestic semiconductor capabilities, ensure IP security
ST & GF
(July 22)
France:
Crolles
US $5.7B
(estimated)
FDX technology and ST’s comprehensive technology roadmap down to 18nm First tool move-in Q423; up to 620,000 300mm wafer per year production at full build-out
GlobalWafers
(June 22)
USA:
Sherman, Texas
US $5B 300mm silicon wafer factory First fab is anticipated as early as 2025; 3.2 million SF at full build-out
Purdue & Mediatek
(June 22)
USA:
Indiana
Semiconductor chip design center Also R&D, AI, and
communications in
chip design
Merck KGaA
(May 22)
China:
Zhangjia.
US $82.6M Thin film materials, electronic specialty gasses, warehouses, & operation centers 69-acre base
Renesas
(May 22)
Japan:
Kai City
90B yen

(~US $620M)

300mm wafer fab for power semis Reopening of a fab closed in 2014;
2024 production start
TEL
(May 22)
Japan:
Miyagi Prefect.
47B yen

(~ US $324M)

Semi manufacturing equipment including plasma etch systems Completion spring 2025;
approx. 46,000 sq. m
ASML
(May 22)
USA:
Wilton, Conn.
US $200M Litho equipment Expansion of existing facility
ISMC
(May 22)
India:
Karnataka
US $3B 65nm analog chip fab
Intel
(Mar22)
Germany:
Magdeburg
€17B initially
(~US $16.7B)
Most advanced, Angstrom-era transistor technologies Construction expected to begin in the first half of 2023 and production planned to come online in 2027
Intel
(Mar 22)
Ireland:
Leixlip
€12B additional expansion
(~US $11.8B)
Intel 4 process technology Doubling the manufacturing space
TEL
(Mar 22)
Japan:
Kyushu
30B yen
(US $205M)
Manufacturing equipment including coater/developers and surface preparation systems Construction to start spring 2023 for completion in fall 2024
Intel
(Mar 22)
Italy up to €4.5B
(~US $4.41B)
Back-end manufacturing facility site TBD
Infineon
(Feb 22)
Malaysia:
Kulim
€2B

(~US $2B)

SiC and GaN Expansion with 3rd module; fab will be ready for equipment in summer 2024
UMC
(Feb 22)
Singapore US $5B Fab12i P3:
22/28nm expansion
Production expected to commence in late 2024
Toshiba
(Feb 22)
Japan:
Ishikawa Prefect.
US $1B
(per
Reuters)
300 mm wafer fab for power semiconductors Production start of phase 1 scheduled for within fiscal 2024
Intel
(Jan and
Sept 22)
USA:
Licking County, Ohio
US $20B
initially,
could expand to
$100B
IDM 2.0 plan;
20A and 18A node
Production is expected to come online in 2025
Samsung
(Dec 21)
Vietnam US $850M Package substrates (FCBGA) Executed in phases until 2023
Intel
(Dec 21)
Malaysia ~US $7B Assembly and test manufacturing and die prep capability with the addition of advanced packaging capabilities Production in 2024
Samsung
(Nov 21)
USA:
Taylor, Texas
US $17B Advanced process technologies Operational in the second half of 2024;
5M square meters
KLA
(Nov 21)
India:
Chennai
AI-Advanced Computing Lab (AI-ACL) In partnership with the Indian Institute of Technology (IIT) Madras
TI
(Nov 21)
USA:
Sherman, Texas
up to
US $30B
for 4 fabs
300mm wafers Production from the first new fab is expected as early as 2025
Amkor
(Nov 21)
Vietnam:
Bac Ninh
US $200M
to $250M
first phase
Advanced system in package (SiP) assembly & test solutions Production by 2nd half of 2023; 20k square meter clean room (first phase)
Micron
(Dec 21)
USA:
Atlanta, Georgia
Memory design and engineering 2022 opening
AMD
(Oct 21)
Romania Hardware and software innovations Design developments for future CPU core architectures and AMD Infinity Fabric tech
TSMC & Sony
(Nov 21)
Japan:
Kumamoto
US $7B-8B Foundry service with initial technology of 22/28 nm Production targeted to begin by the end of 2024
Lam
(Sept 21)
USA:
Sherwood, Oregon
Tools needed to build chips that power advanced electronic devices 45,000 square foot facility
SMIC
(Sept 21)
China:
Shanghai
~US$ 8.9B
(estimated)
Display driver and power management chips using mature technologies
TSMC
(Sept 21)
Taiwan:
Kaohsiung
7nm & 28nm chips Production scheduled to begin in 2024
SK Siltron
(Jul 21)
USA:
Michigan
US $300M Silicon carbide wafers Add a new site in Bay City, Mich., to join its existing site in nearby Auburn, Mich.
GF
(Jul 21)
USA:
Malta, NY
US $1B initially Add’l investments in Fab 8 plus new fab to double the site’s capacity Additional 150,000 wafers per year within its existing fab; public/private funding for new fab (amount TBD)
Brooks Instrum.
(Jul 21)
S. Korea:
Yongin
GF100 series mass flow controllers
GF
(Jun 21)
Singapore US $4B Phase one of 300mm fab expansion. new fab on its Singapore campus Planned to ramp in 2023. 450,000 wafers per year
Intel
(May 21)
USA:
New Mexico
US $3.5B Advanced semi packaging Foveros advanced 3D packaging technology
Hitachi
(May 21)
USA:
Hillsboro, Oregon
Nanotech center Opened Sept 22;
219,000 square foot facility
UMC
(Apr 21)
Taiwan:
Tainan Science Park
NT $100B
(~US$3B)
300mm Expand capacity at its 300mm Fab 12A Phase 6 (P6)
TEL
(Mar 21)
Japan:
Yamanashi Prefect.
11B yen
(~US $75M)
Deposition and gas chemical etch systems, development of patterning and process integration technologies Started in Sept 2021 and be completed in Spring 2023
SMIC
(Mar 21)
China:
Shenzhen
US $2.35B 28nm & above Government funding
Intel
(Mar 21)
USA:
Chandler, Arizona
US $30B
with Brookfield joint investment announced Aug. 22
2 new fabs:
Fab 52 and Fab 62
20A fabrication featuring RibbonFET & PowerVia;
operational in 2024

Source: Compiled by Linda Christensen/Semiconductor Engineering from company reports

Note: the above investments are conservative estimates for the following reasons:  1) Many facilities/fabs did not disclose investment amounts;  2) This does not include additional Samsung investments in Texas and additional TSMC investment in Arizona, which have yet to be confirmed/formally announced by those companies; 3) This list was compiled based on company announcements, and details may change, and 4) this includes prominent announcements and is not meant to be all-inclusive.

Selected Investments By Country

Taking those same selected investments above (and related caveats) and summarizing by country:

Country amounts are understated due to inclusion of only select facilities AND non-disclosure of financial terms for many companies, including TSMC’s new Taiwan facility in Kaohsiung.

Related Reading
Which Foundry Is In The Lead? It Depends.
More factors need to be weighed than just process scaling; leadership can vary month-to-month and by application.
Business & Startup Fundings News
Get the latest chip industry news, startup funding reports and latest stock prices.
How To Compare Chips
Traditional metrics no longer work in the context of domain-specific designs and rising complexity.
If you’d like to receive Semiconductor Engineering newsletters and alerts via email, please sign up here.

[ad_2]

Source link